aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket81/bug.vhdl
blob: f2d76d69c538e7c64cb6306ab93d58b092fe1414 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity ent is
end entity;

architecture a of ent is
begin
  main : process
    variable bv : bit_vector(0 to 0);
  begin
    report to_string(bv'length); -- Works
    report to_string(2 * bv'length); -- Does not work
    wait;
  end process;
end architecture;