aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket79/file.vhdl
blob: 431e94886e227d1a6fd705614bac29cbb2e4c4f4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity sub_ent is
end entity;

architecture a of sub_ent is
  signal sig : integer := 0;
begin
  sig <= 1 after 1 ns;
end architecture;

entity ent is
end entity;

architecture a of ent is
  signal sig : integer;
begin
  dut : entity work.sub_ent;
  sig <= << signal dut.sig : integer >>;

  monitor : process
  begin
    wait on sig;
    report to_string(sig);
  end process;
end architecture;