aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket73/bug.vhdl
blob: 89f53db3d64caae069545cfb6255f0716c8c4cd2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity ent is
end entity;

architecture a of ent is
  procedure proc(s : string) is
  begin
    report integer'image(s'left);
    report integer'image(s'right);
    report s;
  end procedure;
begin
  main : process
  begin
    proc(s(4 to 15) => "Hello world!");
    wait;
  end process;
end architecture;