aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket69/repro.vhdl
blob: daf9b472aaae301b52edfc99c21987d9f6957976 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.numeric_std.all;

entity ent is
end entity;

library ieee;
use ieee.std_logic_1164.all;

architecture a of ent is
begin
  main : process
    variable a,b : unsigned(0 downto 0) := "1";
  begin
    assert a = b; -- Works
    assert ieee.numeric_std."="(a, b);
    wait;
  end process;
end architecture;