aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket69/bug2.vhdl
blob: 51caf3a00d30d4e95f8e0194632559ad5b75a2c5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.numeric_std.all;

entity ent is
end entity;

architecture a of ent is
begin
  main : process
    variable a,b : unsigned(0 downto 0) := "1";
  begin
    assert a = b; -- Works
    assert ieee.numeric_std."="(a, b);
  end process;
end architecture;