aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket63/bug.vhdl
blob: 4c32a5b9322c19d67819b76dcac60de695b16976 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity ent is
end entity;

architecture a of ent is
begin
  main : process is
    constant str : string(1 to 3) := "abc";
    type line is access string;
    variable l : line;
  begin
    l := new str(1 to 2); -- Crashes
    l := new string'(str(1 to 2)); -- Works
  end process;
end architecture;