aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket52/file.vhdl
blob: 8eea1a71381f42b6d5797356d8e6f821e71f2f8c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity ent is
  port (
    prt : out integer);
end entity;

architecture a of ent is
  signal sig : integer;
begin
  prt <= 1;
  sig <= prt;
end architecture;