aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket48/ref1.vhdl
blob: aeffbb7b85b5b7f2967f9eeafd2660609744fa89 (plain)
1
2
3
4
5
6
7
entity ent is
end entity;

architecture a of ent is
begin
 -- Comment added.
end architecture;