aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket48/ref.vhdl
blob: f9c574f89adbda195a77afb19ed98965294619b3 (plain)
1
2
3
4
5
6
entity ent is
end entity;

architecture a of ent is
begin
end architecture;