aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket46/bug.vhdl
blob: 1f2b8198c29ebd72adbd5cf07e4875f88b42c7de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity ent is
end entity;

architecture a of ent is
  type boolean_vec_t is array (integer range <>) of boolean;

  function resolved(vec : boolean_vec_t) return boolean is
  begin
    return true;
  end function;

  subtype resolved_boolean_t is resolved boolean;

  signal sig : resolved_boolean_t;

begin
  main : process
  begin
    if sig then
    end if;

    wait until sig;
  end process;
end architecture;