aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket43/bug.vhdl
blob: 970835c3e7ddca2195c1141aaabed7fba795196c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity bug is
end;

use work.pkg.all;

architecture behav of bug is
begin
  p: process
    variable rec : rec_t;
  begin
    wait;
  end process;
end behav;