aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket41/bug1.vhdl
blob: 958437cb8889e75fcf66af72fd976693c247ed3c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity bug1 is
  
end bug1;

architecture behav of bug1 is
  constant c : natural := 5;
  function c return natural is
  begin
    return 7;
  end;
begin  -- behav


end behav;