aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket38/associate.vhdl
blob: 1d302ca9be5d6d3e64251e5cd089e6f4db475b1e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
entity test_ent is
    port (
        input: integer 
    ); 
end entity;

architecture test of test_ent is
    
begin

end architecture;

entity associate is
end entity;

architecture test of associate is
    component test_ent is
        port (
            input:  integer
        );
    end component;
begin
gen_label:
    for i in 0 to 11 generate
    genx:
        test_ent
            port map (
            input => i
            );
    end generate;
end architecture;