blob: 3b6c50eccc4eb1831c9cb7ee7d1ead50bf2724ae (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb is
end tb;
architecture behav of tb is
signal clk : std_logic;
begin -- behav
process
begin
for i in 1 to 5 loop
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end loop; -- i
end process;
inst : entity work.scrambler port map (
clk => clk,
en => '0',
reset => '0',
seed => '0',
d_in => '0',
d_out => open);
end behav;
|