aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket11/tb3.vhdl
blob: 690c0ef489e4a377f2298ad1725fc688a12bb98c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity tb3 is
end entity;

architecture arch of tb3 is
    signal s: integer := 0;
begin
    process is
    begin
        wait for 1 us;
        s <= 1;
        s <= reject 1 ns inertial 2 after 2 us;
        assert s = 0;
        wait on s;
        report "s = " & integer'image(s);
        assert s = 1 severity failure;
        assert now = 1 us severity failure;
        wait on s;
        report "s = " & integer'image(s);
        assert s = 2 severity failure;
        assert now = 3 us severity failure;
        wait;
    end process;

end architecture;