aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket11/tb2.vhdl
blob: 4ba80e1628f8278d0a64ef148cfad41c7501ebee (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity tb2 is
end entity;

architecture arch of tb2 is
    signal s: integer := 0;
    signal s2: integer := 0;
begin
    process is
    begin
        wait for 1 us;
        s2 <= 3;
        s <= 1;
        s <= 2 after 1 us;
        assert s = 0;
        wait on s;
        report "s = " & integer'image(s);
        assert s = 2 severity failure;
        assert now = 2 us severity failure;
        wait;
    end process;

end architecture;