aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket11/signalevents.vhdl
blob: 98161ae8ba36402f0ec625db6e08ecab97841845 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb is
end entity;

architecture arch of tb is
    signal s: integer := 0;
begin
    process is
    begin
        wait for 1 us;
        s <= 1;
        s <= 2 after 1 us;
        assert s = 0;
        wait on s;
        report "s = " & integer'image(s);
        assert s = 2 severity failure;
        assert now = 2 us severity failure;
        wait;
    end process;

end architecture;