aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/sr2655/bug.vhdl
blob: 682ece50931810c1084e87029f484838eec00865 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;

entity bug is
end;

architecture this of bug is
  function f return integer is
    constant v: std_logic_vector := std_logic_vector'("01HLZX-U");
  begin
    assert false report "case 2 starts" severity note;
    for i in v'range loop
      assert false report "case 2: "&integer'image(i) severity note;
    end loop;
    return 0;
  end;
begin
  process
    constant v: std_logic_vector := std_logic_vector'("01HLZX-U");
    variable a: integer;
  begin
    assert false report "case 1 starts" severity note;
    for i in v'range loop
      assert false report "case 1: "&integer'image(i) severity note;
    end loop;
    a := f;
    wait;
  end process;
end;