blob: 9aefe791575e142691f2573b6cedbfca15ba6602 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
|
library ieee ;
use ieee.std_logic_1164.all;
entity test_load is
port(
clk_i : in std_ulogic;
rst_i : in std_ulogic;
dat_i : in std_ulogic_vector(0 to 31);
sot_in : in std_ulogic;
dat_o : out std_ulogic_vector(0 to 2559)
);
end test_load;
architecture RTL of test_load is
signal w : std_ulogic_vector(0 to 2559);
begin
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
w <= dat_i & w(0 to 2559-32);
end if;
end process;
dat_o <= w;
end RTL;
|