aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue917/dut.vhdl
blob: 56d09cdca53f642857050c1e94bfa1997b3fb52b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;
entity avmm_csr is
	Generic (width : positive);
	Port (
		reg_i : in std_ulogic_vector(width-1 downto 0)
    );
end avmm_csr;
architecture rtl of avmm_csr is
begin
end rtl;

library ieee;
use ieee.std_logic_1164.all;
entity dut is
end entity dut;
architecture rtl of dut is
    signal s : std_ulogic_vector(1 downto 0);
begin
    inst : entity work.avmm_csr
    generic map (width => 4)
    port map (
        reg_i => "11"&s
    );
end architecture rtl;