aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue881/t87io.vhdl
blob: 3b2c70c189c26e00f6316c56fe524915a9a290fa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity t87io is
end;

use std.textio.all;

architecture behav of t87io is
  constant t1 : time := 1 ns;
  constant t2 : natural := time'pos (t1);
begin
  assert t1 = 1000 ps;
  process
    variable v : natural;
  begin
    --  Time resolution must be ps
    v := time'pos(ps);
    assert v = 1 severity failure;
    wait;
  end process;
end behav;