aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue881/t87.vhdl
blob: 847bf4e4735fa00402fa4b26ec4c4ff4744d656c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity t87 is
end;

architecture behav of t87 is
  constant t1 : time := 1 ns;
  constant t2 : natural := time'pos (t1);
begin
  assert t1 = 1000 ps;
  process
    variable v : natural;
  begin
    --  Time resolution must be ps
    v := time'pos(ps);
    assert v = 1 severity failure;
    wait;
  end process;
end behav;