aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue869/alu.vhdl
blob: a9742c2d1199e59cfeeca8e8b000b3cd5bc75165 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity alu is
  port (
    a : in std_logic;
    b : in std_logic;
    y : out std_logic
  );
end alu;

architecture mux of alu is
  signal mux1: std_logic_vector(7 downto 0);
begin

  process(a, b)
  begin
    y <= mux1(a & b); -- now allowed
  end process;
end mux;