aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue818/tc4.vhdl
blob: 037ad41056b8e0ed1519f31dd8d3904055f4080f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tc4 is
end;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tc4 is
  signal clk : std_logic;
  signal tg : std_logic;
begin
  process (clk) is
  begin
    if falling_edge(clk) and tg then
      null;
    end if;
  end process;
end behav;