aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue818/tc3.vhdl
blob: 9aebf41c48d2363b5638211522bfc8ed38b584b4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tc3 is
end;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tc3 is
  signal clk : std_logic;
  signal tg : std_logic;
begin
  process (clk) is
  begin
    if falling_edge(clk) and (tg) then
      null;
    end if;
  end process;
end behav;