blob: 5af0acff527778dce1a5079e8ad429b70169c392 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
|
entity tc10 is
end;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tc10 is
signal clk : std_logic;
signal tg : std_logic;
begin
process (clk) is
begin
if ?? falling_edge(clk) and (?? tg) then
null;
end if;
end process;
end behav;
|