aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue817/repro1.vhdl
blob: 4ff7d8bd925343f357c58ba1dd7fb3cb09c7a27c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end entity;

architecture arch of ent is
begin
   process
       variable valid_tmp : std_logic_vector := (others => '0'); 
   begin
       valid_tmp := (others => '0'); 
       wait;
   end process;
end architecture;