aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue797/tb.vhdl
blob: 2e173fed84d6c941a53b19e0d175869e9509a18a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
use work.pkg_c.all;

entity test is
end entity;

architecture tb of test is
  constant block_len : natural := 3;
begin
  main: process
    variable val: integer;
  begin
    report "HELLO" severity note;
	for x in 0 to block_len-1 loop
      val := get(x);
      set(block_len+x, val+1);
    end loop;
    wait;
  end process;
end architecture;