aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue797/repro.vhdl
blob: f426ac1d060ca49a9f669a445d6da832336e937d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity repro is
end;

architecture behav of repro is
  type byte_vector_access_t is access string;
  
  procedure set(index : natural; c : character) is
    variable v : byte_vector_access_t(1 to integer'high);
  begin
    v(index+1) := c;
  end;
begin
  process
  begin
    if now > 1 ns then
      set (1, 'a');
    end if;
    wait;
  end process;
end behav;