aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue777/sim.sh
blob: 547e23d45dc930d7e2d8909483e784fd3de8d360 (plain)
1
2
ghdl_mcode -i --work=build --workdir=. tb.vhdl a.vhdl
ghdl_mcode -c --work=build --workdir=. -r tb