aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue737/ent.vhdl
blob: 988acb0820be44caa9eb05609ea692c06903d470 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity ent is
end entity;

architecture impl of ent is
	type bitvv is array(natural range <>) of bit_vector;
	signal foo: bitvv(2 downto 0)(0 downto 0);
begin

foo <= foo(1 downto 0) & foo(2);

end architecture;