aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue729/whide.vhdl
blob: a900c80cda7de063d57f9adb77cd8067ed2f68a4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity whide is
end entity;

architecture a of whide is
  signal a : boolean;
begin
  process(a)
    variable whide : boolean;
  begin
    case a is
      when false =>  report "FALSE";
      when true =>   report "TRUE";
      when others => report "others";
    end case;
  end process;
end architecture;