aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue719/tb.vhdl
blob: e911ae090e766ffc837783f18350cf49c0c5f259 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
 library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;
entity test_tb is
port(
  dout : std_logic
);
end entity;

architecture sim of test_tb is
  
  signal rst : std_logic := '1';
  signal clk : std_logic := '1';
  signal din : std_logic ;

  type myrec is
  record
    rst : std_logic;
    vld : std_logic; 
  end record;

  procedure myproc(
    variable din : in std_logic;
    variable dout : out std_logic
  ) is
  begin
    dout := din;
  end procedure;

begin
end architecture;