aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue705/bug.vhdl
blob: 7bbcbcd3e8f78ac73f6d7d4888c6755008e7e983 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
package pkg is
  constant const : character := 'a';
end package;

entity tb_ent is
end entity;

architecture a of tb_ent is
  constant const : natural := 1;
begin
  main : process
    use work.pkg.const;
  begin
    report integer'image(const); -- 0 in GHDL, I expect 1
    assert const = 1; -- Fails in GHDL
  end process;
end architecture;