blob: 9a825b16522e0855f1efb260ac80214c9e60e3a7 (
plain)
1
2
3
4
5
6
7
8
9
10
11
|
entity mixer_tb is
end;
use work.mixer_pkg.all;
architecture behav of mixer_tb is
signal s : sample_array(0 to 127)(3 downto 0);
begin
inst : entity work.mixer generic map (sample_bits => 4)
port map(i_samples => s);
end behav;
|