aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue692/repro.vhdl
blob: d5cc42312e589357090b7551dc1e848de6d1e134 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity repro is
end;

architecture behav of repro
is
   function test (l : natural) return boolean is
     variable v : bit_vector (l - 1 downto 0);
   begin
     assert v (l / 2) = '0';
     assert v (0) = '0';
     assert v (l - 1) = '0';
     return True;
   end test;
begin
   process
     variable res : boolean;
   begin
     res := test (128 * 1024);
     wait;
   end process;
end behav;