aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue683/repro.vhdl
blob: cdcc4dc5e743faa816cade9f84781e4961b2266a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity repro is
end repro;

architecture behav of repro is
  function exp2 (b : integer) return integer is
  begin
    return 2**b;
  end exp2;
begin
  assert exp2(3) = 8 severity failure;
  assert exp2(31) > 0 severity failure;
end behav;