aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/test_2b_record_subtype_alias/TbTest.vhd
blob: 700e9a82ade33dcd7369b28729a11fce95dbf77e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

use work.TestPkg.all ;

entity TbTest is
end entity TbTest;

architecture rtl of TbTest is

  component test is
    port(
      input : in ARecType
    );
  end component test;

  signal Fred : ARecType( A(7 downto 0)) ;
begin
  test_1 : test
    port map (
      input => Fred
    );

  process
  begin
    Fred.A <= X"00" ;
    wait for 1 ns ;
    for i in 1 to 10 loop
      Fred.A <= X"00" + i ;
      wait for 1 ns ;
    end loop ;
    std.env.stop ;
  end process ;
end architecture;