blob: d136643939ef3affd628615754ebbc40713e1f8a (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
|
entity example is
end entity;
architecture tb of example is
type arrType is array (1 downto 0) of integer;
type arrTypePtr is access arrType;
begin
process (all)
variable ptr : arrTypePtr;
begin
ptr := new arrType'(10, 5); -- works
ptr.all(0) := 5; -- crash
ptr(0) := 5; --crash
end process;
end architecture;
|