aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue634/top.vhdl
blob: 0dd7a47a253ac50dc245ac95edbcd21c9a138305 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
use std.textio.all;

entity top_ent is
end entity;

architecture default of top_ent is
	file fh : text;
begin
	process
	begin
		if endfile(fh) then
			null;
		end if;
	end process;
end architecture;