blob: ed8cf82d84b26a6f6d0412409321e962395830d9 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
|
library ieee;
use ieee.std_logic_1164.all;
entity repr is
end entity;
architecture arch of repr is
constant CYCLE : time := 10 ns;
signal vec1 : std_logic_vector(31 downto 0);
signal vec2 : std_logic_vector(31 to 0);
begin
vec1 <= (others => '0');
vec2 <= (others => '0');
end arch;
|