blob: 998d5e576877872ac9cd6c15dfea64e55e2ae221 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
|
library ieee;
use ieee.std_logic_1164.all ;
entity foo is
port (
addr : in std_logic_vector(3 downto 0);
data_in : out std_logic_vector(31 downto 0);
data_out : out std_logic_vector(31 downto 0)
);
end entity foo;
architecture simple of foo is
type mem_type is array (5 downto 0) of std_logic_vector(31 downto 0);
signal mem : mem_type ;
begin -- architecture simple
foop: process (all) is
begin -- process foop
mem[address]<=data_in;
-- The above code is erronous but instead of flaging an error the compiler
-- crashes
-- mem(address)<=data_in;
end process foop;
end architecture simple;
|