aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue606/repro1a.vhdl
blob: 755d456644bc19c7bcae3a427c67d2dc147409ad (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro1a is
  generic (depth : natural := 3);
end repro1a;

architecture behav of repro1a is
  component repro1b is
    generic (depth : natural);
  end component;
begin
  assert false report "repro1a: depth = " & natural'image (depth)
    severity note;

  comp1a : repro1b
    generic map (depth => depth);
end behav;