aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue584/Test_LinkedListPkg.vhd
blob: bddf3fcd57a4a6dda9be8e7cb8670be2a76a424d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;
library std;
use std.textio.all;


entity test_linkedListPkg is
  package NaturalLinkedListPackage is new work.LinkedListPkg generic map(natural);
  use NaturalLinkedListPackage.all;
end entity test_linkedListPkg;

architecture behavioral of test_linkedListPkg is
  
begin
   process
     variable list : LinkedListPtr;
     variable l : line;
     variable cnt : natural;
   begin
     write (l, cnt);
     writeline (output, l);
     wait;
   end process;  

end architecture behavioral;