aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue558/repro.vhdl
blob: ab51eef29606933105d890512c31133cac106a8e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

package repro is
  type SPIrecGeneric is record
    BIT : positive;
  end record;	
    
  type SPI_Rec_input is record
    SPI_Data_in : std_logic_vector (SPIrecGeneric.BIT-1 downto 0);
  end record;					
    
  type SPI_Rec_Output is record
    SPI_Data_out : std_logic_vector (SPIrecGeneric.BIT-1 downto 0);	
  end record;	
end repro;