aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue553/repro.vhdl
blob: 71032a7462425108586c70436705f58c9a9aa66d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity Stimulus_Response is
generic ( CLK_PERIOD : Time := 20 ns );
port (
    NRESET          : in bit;
    CLK             : in bit;

    A   : out bit
);
end Stimulus_Response;

architecture Behavioral of Stimulus_Response is
    type my_bool is (True, False, Maybe);

    constant Scrubbing_Test     : False;
    constant MEM_Test           : boolean := False; 

    signal A_int : bit;
 
begin

    A   <= A_int;

end Behavioral;