aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue546/test-bug.vhdl
blob: cc2ebc6669b62abbe6ca6016f61606349dca434e (plain)
1
2
3
4
5
6
7
8
entity test_arr is
end entity;

architecture behavior of test_arr is
    type T is array(natural range <>) of bit_vector;
    signal a: T(0 to 1)(0 to 1) := ("00", "11");
begin
end architecture;