aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue535/bug.vhdl
blob: 8c6fcb1a1535c8415f112301c1698d4f33215670 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
package pkg1 is
  generic (
    type value_t);
end package;

package pkg2 is
  generic (
    type value_t);

  package pkg1_inst is new work.pkg1 generic map (value_t => value_t);
  use pkg1_inst.all;
end;