aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue525/testsuite.sh
blob: 3f4cb11e8bc2b9d3373da34631fbbb4fb5cb25e4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
#! /bin/sh

. ../../testenv.sh

analyze_failure counter_illegal_attribute.vhd

# By default, emit only a warning when trying to specify an attribute for a
# port from the architecture.
analyze repro1.vhdl

# Or in relaxed mode
export GHDL_STD_FLAGS="--std=93 -frelaxed-rules"
analyze repro1.vhdl

# But it is an error in strict mode
export GHDL_STD_FLAGS=--std=93
analyze_failure repro1.vhdl

clean

echo "Test successful"