aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue521/pkg.vhdl
blob: ad1b62ba7310d264c4efa0da00e14a1a8e9d44ce (plain)
1
2
3
package pkg is
  constant cst : natural := 5;
end pkg;